学校云 建设你的专属在线教育平台
中国大学MOOC
SPOC学校专有课程
数字系统与处理器2
分享
spContent=本课程的核心是EDA技术,EDA即电子设计自动化,EDA技术是电子信息类专业的学科基础课,主要学习采用电子设计自动化技术进行数字系统与处理器设计的基本方法、器件基础(FPGA、ASIC)、工具应用、设计优化以及验证方法,是学习后续电子信息类专业课程和从事电子类产品设计的必备基础。EDA技术还在不断发展中,课程内容也随之更新。
—— 课程团队
课程概述

        本课程的核心是EDA技术,EDA技术课程是电子信息类专业的学科基础专业课程。


        主要学习采用电子设计自动化技术进行数字系统与处理器设计的基本方法、器件基础、工具应用、设计优化以及验证方法,是学习专业课程和从事电子类产品设计的必备基础。EDA技术还在不断更新发展中,EDA技术课程内容也需要不断更新发展。同时该课程是一门注重实践性的课程,既有概念理论又有编程实践。


        通过传授EDA技术的原理、工具、器件、HDL、优化以及仿真技术等的理论知识,并开展相关课程实验,培养学生对数字系统设计能力和创新能力,达到本课程的课程目标:


课程目标(1):在理解EDA技术一般概念的基础上,能掌握基于Verilog HDL语言的数字系统EDA设计基本方法与流程。

课程目标(2):掌握描述和分析现代数字系统的方法,树立正确的设计思想,了解现代复杂数字系统设计技术与验证技术。

课程目标(3):能掌握EDA工具及配套FPGA硬件开发系统的使用,能掌握基本实验技能,培养学生根据设计指标,确定电路和系统的设计方案的能力。

课程目标(4):培养学生具备独立设计实现较复杂数字电路与系统的能力,具备创造性思维和创新能力。


        学习本课程后,能掌握Quartus、ModelSim、Vivado等软件的使用,能进行复杂数字系统设计,可以进一步学习集成电路相关课程,入门SoC设计技术,也可以进一步了解计算机组成原理与体系结构,为CPU设计奠定基础。

授课目标

        EDA技术(电子设计自动化技术)作为电子信息类专业的学科基础课,通过传授EDA技术的原理、工具、器件、HDL、优化以及仿真技术等的理论知识,并开展相关课程实验,培养学生对数字系统设计能力和创新能力,培养复合应用型信息技术领域创新人才。其课程目标主要分三个维度:

1. 知识

  • 在理解EDA技术一般概念的基础上,能掌握基于Verilog HDL语言的数字系统EDA设计基本方法与流程。

  • 掌握描述和分析现代数字系统的方法,树立正确的设计思想,了解现代复杂数字系统设计技术与验证技术。

2. 能力

  • 能掌握EDA工具及配套FPGA硬件开发系统的使用,能掌握基本实验技能,培养学生根据设计指标,确定电路和系统的设计方案的能力。

  • 培养学生具备独立设计实现较复杂数字电路与系统的能力,具备创造性思维和创新能力。

3. 素质

  • 了解EDA技术在国内外的发展状况,拓展国际视野,了解EDA技术对IC行业的影响。

  • 了解EDA技术的先进性与稀缺性,激发学生对EDA技术的学习热情,培养学生的家国情怀。


成绩 要求

学期总评成绩 = 平时成绩(40%) + 期末考试成绩(60%)

平时成绩:课后作业、课堂测试、线上作业与实践

期末考试:中文闭卷考试

课程大纲
预备知识

先修课程:

1. 数字逻辑电路

2. C程序设计


参考资料

[1]    黄继业,潘松,EDA技术实用教程:Verilog HDL版(第六版),北京:科学出版社,2018. “十一五”“十二五”普通高等教育本科国家级规划教材.

[2]    黄继业,郑兴,黄汐威,潘松,EDA Technology and Verilog HDL -- EDA技术与Verilog HDL(英文版),北京:清华大学出版社,2019.

[3]    黄继业, 陈龙, 潘松,EDA技术与Verilog HDL,北京:清华大学出版社,2017.

[4]    臧春华,蒋璇,数字系统设计与PLD应用(第三版),北京:电子工业出版社,2009.

[5]    潘松,潘明,黄继业,现代计算机组成原理,北京:科学出版社,2013.

[6]    [美]M. Morris Mano,[美]Michael D. Ciletti著,徐志军,尹廷辉,倪雪等译,Digital Design: With an Introduction to the Verilog HDL (Fifth Edition)——数字设计与Verilog实现(第五版),北京:电子工业出版社,2015.

[7]    [美] Michael,D. Ciletti著,李广军,林水生,阎波等译,Advanced Digital Design with the Verilog HDL (Second Edition)——Verilog HDL高级数字设计(第二版),北京:电子工业出版社,2019.

[8]    王锁萍,电子设计自动化(EDA)教程,成都:电子科技大学出版社,2000.

[9]    云创工作室,Verilog HDL程序设计与实践,北京:人民邮电出版社,2009.

[10]  曾繁泰,侯亚宁,崔元明,可编程器件应用导论,北京:清华大学出版社,2001.

[11]  朱明程,XILINX数字系统现场集成技术,南京:东南大学出版社,2001.

[12]  [美]Donald E. Thomas, Phillip R. Moorby, The Verilog® Hardware Description Language, Springer, 2013.

[13]  [印]Vaibbhav Taraate, Digital Logic Design Using Verilog - Coding and RTL Synthesis, Springer, 2016.

[14]  [美]M. Morris Mano, Michael D. Ciletti, Digital Design: With an Introduction to the Verilog HDL, VHDL, and System Verilog, Pearson, 2018.

[15]  [美]M. Rafiquzzaman, Steven A. McNinch, Digital Logic: With an Introduction to Verilog and FPGA-Based Design, John Wiley & Sons, 2019.

[16]  王金明,徐志军,苏勇,EDA技术与Verilog HDL设计,北京:电子工业出版社,2003.

[17]  乔庐峰,Verilog HDL数字系统设计与验证,北京:电子工业出版社,2009.


常见问题

Q:本课程的教材采用那本?

A:见参考资料中的第[1]、[2]项


Q:本课程中实验部分,是否必须在实验板上完成?测验与考试中有无硬件实验的内容?

A:EDA课程的学习最好是在实验板子上进行练习,在课程视频中有大量硬件实验的演示,但为了考虑到大部分选课者无实验板的情况,视频中也有大量仿真实验的内容,只要有软件就可以自行学习。在测验与考试中,硬件实验的内容占的比例较少(可忽略不计)。